aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTom Verbeure <hombre+github@gmail.com>2021-01-04 00:17:16 -0800
committerTom Verbeure <hombre+github@gmail.com>2021-01-04 00:17:16 -0800
commit3a8eecebbad01f2a73ddf4efd0949b9ba5684506 (patch)
tree7f8989ac61c039315092b429883bb0cf77a8c144
parentbb3439562e122d3a0d8d0e8cd1179d1e478807ea (diff)
downloadyosys-3a8eecebbad01f2a73ddf4efd0949b9ba5684506.tar.gz
yosys-3a8eecebbad01f2a73ddf4efd0949b9ba5684506.tar.bz2
yosys-3a8eecebbad01f2a73ddf4efd0949b9ba5684506.zip
Fix indents.
-rw-r--r--frontends/verilog/verilog_frontend.cc4
1 files changed, 2 insertions, 2 deletions
diff --git a/frontends/verilog/verilog_frontend.cc b/frontends/verilog/verilog_frontend.cc
index 7f7d77477..e2aecd99b 100644
--- a/frontends/verilog/verilog_frontend.cc
+++ b/frontends/verilog/verilog_frontend.cc
@@ -229,7 +229,7 @@ struct VerilogFrontend : public Frontend {
log("\n");
log("Depending on if read_verilog is run in -formal mode, either the macro\n");
log("SYNTHESIS or FORMAL is defined automatically, unless -nosynthesis is used.\n");
- log("In addition, read_verilog always defines the macro YOSYS.\n");
+ log("In addition, read_verilog always defines the macro YOSYS.\n");
log("\n");
log("See the Yosys README file for a list of non-standard Verilog features\n");
log("supported by the Yosys Verilog front-end.\n");
@@ -258,7 +258,7 @@ struct VerilogFrontend : public Frontend {
bool flag_defer = false;
bool flag_noblackbox = false;
bool flag_nowb = false;
- bool flag_nosynthesis = false;
+ bool flag_nosynthesis = false;
define_map_t defines_map;
std::list<std::string> include_dirs;