aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorSylvain Munaut <tnt@246tNt.com>2021-08-17 10:21:04 +0200
committerMarcelina Koƛcielnicka <mwk@0x04.net>2021-08-17 14:33:30 +0200
commit3806b073031f1782f41762ebb6080a07e4182e95 (patch)
treee7a3524b12ad464c7869fc23cd20727a245ed3af
parente6dd4db0afa230fd382e9789d9aaf9c3b536b50c (diff)
downloadyosys-3806b073031f1782f41762ebb6080a07e4182e95.tar.gz
yosys-3806b073031f1782f41762ebb6080a07e4182e95.tar.bz2
yosys-3806b073031f1782f41762ebb6080a07e4182e95.zip
ice40: Fix typo in SB_CARRY specify for LP/UltraPlus
Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
-rw-r--r--techlibs/ice40/cells_sim.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/techlibs/ice40/cells_sim.v b/techlibs/ice40/cells_sim.v
index 0f28e2270..f33e92488 100644
--- a/techlibs/ice40/cells_sim.v
+++ b/techlibs/ice40/cells_sim.v
@@ -244,7 +244,7 @@ module SB_CARRY (output CO, input I0, I1, CI);
// https://github.com/YosysHQ/icestorm/blob/be0bca0230d6fe1102e0a360b953fbb0d273a39f/icefuzz/timings_lp1k.txt#L82
(I0 => CO) = (382, 362);
// https://github.com/YosysHQ/icestorm/blob/be0bca0230d6fe1102e0a360b953fbb0d273a39f/icefuzz/timings_lp1k.txt#L85
- (I0 => CO) = (341, 196);
+ (I1 => CO) = (341, 196);
endspecify
`endif
`ifdef ICE40_U
@@ -254,7 +254,7 @@ module SB_CARRY (output CO, input I0, I1, CI);
// https://github.com/YosysHQ/icestorm/blob/be0bca0230d6fe1102e0a360b953fbb0d273a39f/icefuzz/timings_up5k.txt#L94
(I0 => CO) = (675, 662);
// https://github.com/YosysHQ/icestorm/blob/be0bca0230d6fe1102e0a360b953fbb0d273a39f/icefuzz/timings_up5k.txt#L97
- (I0 => CO) = (609, 358);
+ (I1 => CO) = (609, 358);
endspecify
`endif
endmodule