aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2019-10-04 09:28:18 +0200
committerMiodrag Milanovic <mmicko@gmail.com>2019-10-17 17:11:11 +0200
commit36af10280136f0fda7b743075ac52e48576abf26 (patch)
treed1c034bcc01dc9d74312443d948697e17c73fab0
parent487b38b124cbb388bd680cb54cb43c58829ca1d3 (diff)
downloadyosys-36af10280136f0fda7b743075ac52e48576abf26.tar.gz
yosys-36af10280136f0fda7b743075ac52e48576abf26.tar.bz2
yosys-36af10280136f0fda7b743075ac52e48576abf26.zip
Test dffs separetely
-rw-r--r--tests/xilinx/dffs.v22
-rw-r--r--tests/xilinx/dffs.ys23
2 files changed, 19 insertions, 26 deletions
diff --git a/tests/xilinx/dffs.v b/tests/xilinx/dffs.v
index d97840c43..3418787c9 100644
--- a/tests/xilinx/dffs.v
+++ b/tests/xilinx/dffs.v
@@ -13,25 +13,3 @@ module dffe
if ( en )
q <= d;
endmodule
-
-module top (
-input clk,
-input en,
-input a,
-output b,b1,
-);
-
-dff u_dff (
- .clk (clk ),
- .d (a ),
- .q (b )
- );
-
-dffe u_ndffe (
- .clk (clk ),
- .en (en),
- .d (a ),
- .q (b1 )
- );
-
-endmodule
diff --git a/tests/xilinx/dffs.ys b/tests/xilinx/dffs.ys
index 6a98994c0..2d48a816c 100644
--- a/tests/xilinx/dffs.ys
+++ b/tests/xilinx/dffs.ys
@@ -1,10 +1,25 @@
read_verilog dffs.v
-hierarchy -top top
+design -save read
+
proc
-flatten
+hierarchy -top dff
equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
-cd top # Constrain all select calls below inside the top module
+cd dff # Constrain all select calls below inside the top module
select -assert-count 1 t:BUFG
-select -assert-count 2 t:FDRE
+select -assert-count 1 t:FDRE
+
select -assert-none t:BUFG t:FDRE %% t:* %D
+
+
+design -load read
+proc
+hierarchy -top dffe
+equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd dffe # Constrain all select calls below inside the top module
+select -assert-count 1 t:BUFG
+select -assert-count 1 t:FDRE
+
+select -assert-none t:BUFG t:FDRE %% t:* %D
+