aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-05-11 13:00:36 -0700
committerEddie Hung <eddie@fpgeh.com>2020-05-11 13:00:36 -0700
commit1f3003be7d464372b1c94d6b8e47ffa0d75de0d3 (patch)
tree9ef0d31dbb639dd7297a68174fdcfa2f64f4b529
parente5ce5a4fd532f35cf8dd625b97aa426e4661e119 (diff)
downloadyosys-1f3003be7d464372b1c94d6b8e47ffa0d75de0d3.tar.gz
yosys-1f3003be7d464372b1c94d6b8e47ffa0d75de0d3.tar.bz2
yosys-1f3003be7d464372b1c94d6b8e47ffa0d75de0d3.zip
verilog: error out when non-ANSI task/func arguments
-rw-r--r--frontends/verilog/verilog_parser.y6
1 files changed, 5 insertions, 1 deletions
diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y
index db9a130cf..b7c6af91e 100644
--- a/frontends/verilog/verilog_parser.y
+++ b/frontends/verilog/verilog_parser.y
@@ -853,7 +853,11 @@ task_func_port:
}
if (astbuf2 && astbuf2->children.size() != 2)
frontend_verilog_yyerror("task/function argument range must be of the form: [<expr>:<expr>], [<expr>+:<expr>], or [<expr>-:<expr>]");
- } wire_name | wire_name;
+ } wire_name |
+ {
+ if (!astbuf1)
+ frontend_verilog_yyerror("Non-ANSI style task/function arguments not currently supported");
+ } wire_name;
task_func_body:
task_func_body behavioral_stmt |