aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorClaire Xenia Wolf <claire@clairexen.net>2021-06-09 12:40:33 +0200
committerClaire Xenia Wolf <claire@clairexen.net>2021-06-09 12:40:33 +0200
commit0ff4fb1eb35bfba5b7a936401b58deb21776c81a (patch)
treea7866db217d9c7f18e4e5bbf714f1c128fe2aede
parent06b99950ed295bde34063190641c71b28fde8e1f (diff)
downloadyosys-0ff4fb1eb35bfba5b7a936401b58deb21776c81a.tar.gz
yosys-0ff4fb1eb35bfba5b7a936401b58deb21776c81a.tar.bz2
yosys-0ff4fb1eb35bfba5b7a936401b58deb21776c81a.zip
More deadname stuff
Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
-rw-r--r--tests/various/muxpack.v4
-rw-r--r--tests/various/muxpack.ys4
2 files changed, 4 insertions, 4 deletions
diff --git a/tests/various/muxpack.v b/tests/various/muxpack.v
index 33ece1f16..752f9ba48 100644
--- a/tests/various/muxpack.v
+++ b/tests/various/muxpack.v
@@ -154,7 +154,7 @@ always @*
o <= i[4*W+:W];
endmodule
-module cliffordwolf_nonexclusive_select (
+module clairexen_nonexclusive_select (
input wire x, y, z,
input wire a, b, c, d,
output reg o
@@ -167,7 +167,7 @@ module cliffordwolf_nonexclusive_select (
end
endmodule
-module cliffordwolf_freduce (
+module clairexen_freduce (
input wire [1:0] s,
input wire a, b, c, d,
output reg [3:0] o
diff --git a/tests/various/muxpack.ys b/tests/various/muxpack.ys
index 3e90419af..d73fc44b4 100644
--- a/tests/various/muxpack.ys
+++ b/tests/various/muxpack.ys
@@ -167,7 +167,7 @@ miter -equiv -flatten -make_assert -make_outputs gold gate miter
sat -verify -prove-asserts -show-ports miter
design -load read
-hierarchy -top cliffordwolf_nonexclusive_select
+hierarchy -top clairexen_nonexclusive_select
prep
design -save gold
muxpack
@@ -182,7 +182,7 @@ miter -equiv -flatten -make_assert -make_outputs gold gate miter
sat -verify -prove-asserts -show-ports miter
#design -load read
-#hierarchy -top cliffordwolf_freduce
+#hierarchy -top clairexen_freduce
#prep
#design -save gold
#proc; opt; freduce; opt