aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-09-30 17:04:21 +0200
committerGitHub <noreply@github.com>2019-09-30 17:04:21 +0200
commit0d28e45dcb0b938eff951e7f747af5095ab65de5 (patch)
treedce3e23f1dae3b2e31ea1729148c8ee82d88c703
parentdd67e8ce735bc9f15a8783f4c2e5c131fe4fe559 (diff)
parenta39505e329cc05dbd4ad624a1cf0f6caf664fd9a (diff)
downloadyosys-0d28e45dcb0b938eff951e7f747af5095ab65de5.tar.gz
yosys-0d28e45dcb0b938eff951e7f747af5095ab65de5.tar.bz2
yosys-0d28e45dcb0b938eff951e7f747af5095ab65de5.zip
Merge pull request #1412 from YosysHQ/eddie/equiv_opt_async2sync
equiv_opt to call async2sync when not -multiclock like SymbiYosys
-rw-r--r--passes/equiv/equiv_opt.cc2
1 files changed, 2 insertions, 0 deletions
diff --git a/passes/equiv/equiv_opt.cc b/passes/equiv/equiv_opt.cc
index d4c7f7953..9fe3bbd57 100644
--- a/passes/equiv/equiv_opt.cc
+++ b/passes/equiv/equiv_opt.cc
@@ -156,6 +156,8 @@ struct EquivOptPass:public ScriptPass
if (check_label("prove")) {
if (multiclock || help_mode)
run("clk2fflogic", "(only with -multiclock)");
+ else
+ run("async2sync", "(only without -multiclock)");
run("equiv_make gold gate equiv");
if (help_mode)
run("equiv_induct [-undef] equiv");