diff options
Diffstat (limited to 'fpga_interchange/examples/tests/lut')
-rw-r--r-- | fpga_interchange/examples/tests/lut/CMakeLists.txt | 19 | ||||
-rw-r--r-- | fpga_interchange/examples/tests/lut/arty100t.xdc (renamed from fpga_interchange/examples/tests/lut/lut_arty.xdc) | 6 | ||||
-rw-r--r-- | fpga_interchange/examples/tests/lut/arty35t.xdc | 7 | ||||
-rw-r--r-- | fpga_interchange/examples/tests/lut/basys3.xdc (renamed from fpga_interchange/examples/tests/lut/lut_basys3.xdc) | 0 |
4 files changed, 14 insertions, 18 deletions
diff --git a/fpga_interchange/examples/tests/lut/CMakeLists.txt b/fpga_interchange/examples/tests/lut/CMakeLists.txt index f5503f71..77a4b4da 100644 --- a/fpga_interchange/examples/tests/lut/CMakeLists.txt +++ b/fpga_interchange/examples/tests/lut/CMakeLists.txt @@ -1,19 +1,8 @@ -add_interchange_test( - name lut_basys3 +add_interchange_group_test( + name lut family ${family} - device xc7a35t - package cpg236 + board_list basys3 arty35t arty100t tcl run.tcl - xdc lut_basys3.xdc - sources lut.v -) - -add_interchange_test( - name lut_arty - family ${family} - device xc7a35t - package csg324 - tcl run.tcl - xdc lut_arty.xdc sources lut.v + output_fasm ) diff --git a/fpga_interchange/examples/tests/lut/lut_arty.xdc b/fpga_interchange/examples/tests/lut/arty100t.xdc index 4f390f25..1dba6574 100644 --- a/fpga_interchange/examples/tests/lut/lut_arty.xdc +++ b/fpga_interchange/examples/tests/lut/arty100t.xdc @@ -1,6 +1,6 @@ -set_property PACKAGE_PIN N16 [get_ports i0] -set_property PACKAGE_PIN N15 [get_ports i1] -set_property PACKAGE_PIN M17 [get_ports o] +set_property PACKAGE_PIN A8 [get_ports i0] +set_property PACKAGE_PIN C11 [get_ports i1] +set_property PACKAGE_PIN H5 [get_ports o] set_property IOSTANDARD LVCMOS33 [get_ports i0] set_property IOSTANDARD LVCMOS33 [get_ports i1] diff --git a/fpga_interchange/examples/tests/lut/arty35t.xdc b/fpga_interchange/examples/tests/lut/arty35t.xdc new file mode 100644 index 00000000..1dba6574 --- /dev/null +++ b/fpga_interchange/examples/tests/lut/arty35t.xdc @@ -0,0 +1,7 @@ +set_property PACKAGE_PIN A8 [get_ports i0] +set_property PACKAGE_PIN C11 [get_ports i1] +set_property PACKAGE_PIN H5 [get_ports o] + +set_property IOSTANDARD LVCMOS33 [get_ports i0] +set_property IOSTANDARD LVCMOS33 [get_ports i1] +set_property IOSTANDARD LVCMOS33 [get_ports o] diff --git a/fpga_interchange/examples/tests/lut/lut_basys3.xdc b/fpga_interchange/examples/tests/lut/basys3.xdc index aef287ee..aef287ee 100644 --- a/fpga_interchange/examples/tests/lut/lut_basys3.xdc +++ b/fpga_interchange/examples/tests/lut/basys3.xdc |