diff options
Diffstat (limited to 'fpga_interchange/examples/tests/lut/basys3.xdc')
-rw-r--r-- | fpga_interchange/examples/tests/lut/basys3.xdc | 7 |
1 files changed, 7 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/lut/basys3.xdc b/fpga_interchange/examples/tests/lut/basys3.xdc new file mode 100644 index 00000000..aef287ee --- /dev/null +++ b/fpga_interchange/examples/tests/lut/basys3.xdc @@ -0,0 +1,7 @@ +set_property PACKAGE_PIN V17 [get_ports i0] +set_property PACKAGE_PIN V16 [get_ports i1] +set_property PACKAGE_PIN U16 [get_ports o] + +set_property IOSTANDARD LVCMOS33 [get_ports i0] +set_property IOSTANDARD LVCMOS33 [get_ports i1] +set_property IOSTANDARD LVCMOS33 [get_ports o] |