aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/counter
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/counter')
-rw-r--r--fpga_interchange/examples/tests/counter/CMakeLists.txt8
-rw-r--r--fpga_interchange/examples/tests/counter/counter_arty.xdc14
-rw-r--r--fpga_interchange/examples/tests/counter/counter_basys3.xdc (renamed from fpga_interchange/examples/tests/counter/counter.xdc)8
3 files changed, 18 insertions, 12 deletions
diff --git a/fpga_interchange/examples/tests/counter/CMakeLists.txt b/fpga_interchange/examples/tests/counter/CMakeLists.txt
index ac180070..60375770 100644
--- a/fpga_interchange/examples/tests/counter/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/counter/CMakeLists.txt
@@ -1,17 +1,17 @@
add_interchange_test(
name counter_basys3
- device xc7a50t
+ device xc7a35t
package cpg236
tcl run.tcl
- xdc counter.xdc
+ xdc counter_basys3.xdc
sources counter.v
)
add_interchange_test(
name counter_arty
- device xc7a50t
+ device xc7a35t
package csg324
tcl run.tcl
- xdc counter.xdc
+ xdc counter_arty.xdc
sources counter.v
)
diff --git a/fpga_interchange/examples/tests/counter/counter_arty.xdc b/fpga_interchange/examples/tests/counter/counter_arty.xdc
new file mode 100644
index 00000000..c6873df5
--- /dev/null
+++ b/fpga_interchange/examples/tests/counter/counter_arty.xdc
@@ -0,0 +1,14 @@
+## basys3 breakout board
+set_property PACKAGE_PIN E3 [get_ports clk]
+set_property PACKAGE_PIN C2 [get_ports rst]
+set_property PACKAGE_PIN N15 [get_ports io_led[4]]
+set_property PACKAGE_PIN N16 [get_ports io_led[5]]
+set_property PACKAGE_PIN P17 [get_ports io_led[6]]
+set_property PACKAGE_PIN R17 [get_ports io_led[7]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports rst]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]
diff --git a/fpga_interchange/examples/tests/counter/counter.xdc b/fpga_interchange/examples/tests/counter/counter_basys3.xdc
index 7cbe67f6..09446b5f 100644
--- a/fpga_interchange/examples/tests/counter/counter.xdc
+++ b/fpga_interchange/examples/tests/counter/counter_basys3.xdc
@@ -1,10 +1,6 @@
## basys3 breakout board
set_property PACKAGE_PIN W5 [get_ports clk]
set_property PACKAGE_PIN V17 [get_ports rst]
-#set_property PACKAGE_PIN U16 [get_ports io_led[0]]
-#set_property PACKAGE_PIN E19 [get_ports io_led[1]]
-#set_property PACKAGE_PIN U19 [get_ports io_led[2]]
-#set_property PACKAGE_PIN V19 [get_ports io_led[3]]
set_property PACKAGE_PIN U16 [get_ports io_led[4]]
set_property PACKAGE_PIN E19 [get_ports io_led[5]]
set_property PACKAGE_PIN U19 [get_ports io_led[6]]
@@ -16,7 +12,3 @@ set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]
-#set_property IOSTANDARD LVCMOS33 [get_ports io_led[0]]
-#set_property IOSTANDARD LVCMOS33 [get_ports io_led[1]]
-#set_property IOSTANDARD LVCMOS33 [get_ports io_led[2]]
-#set_property IOSTANDARD LVCMOS33 [get_ports io_led[3]]