aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/counter/counter_basys3.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/counter/counter_basys3.xdc')
-rw-r--r--fpga_interchange/examples/tests/counter/counter_basys3.xdc14
1 files changed, 14 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/counter/counter_basys3.xdc b/fpga_interchange/examples/tests/counter/counter_basys3.xdc
new file mode 100644
index 00000000..09446b5f
--- /dev/null
+++ b/fpga_interchange/examples/tests/counter/counter_basys3.xdc
@@ -0,0 +1,14 @@
+## basys3 breakout board
+set_property PACKAGE_PIN W5 [get_ports clk]
+set_property PACKAGE_PIN V17 [get_ports rst]
+set_property PACKAGE_PIN U16 [get_ports io_led[4]]
+set_property PACKAGE_PIN E19 [get_ports io_led[5]]
+set_property PACKAGE_PIN U19 [get_ports io_led[6]]
+set_property PACKAGE_PIN V19 [get_ports io_led[7]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports rst]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]