aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/ff/ff.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/ff/ff.xdc')
-rw-r--r--fpga_interchange/examples/ff/ff.xdc9
1 files changed, 9 insertions, 0 deletions
diff --git a/fpga_interchange/examples/ff/ff.xdc b/fpga_interchange/examples/ff/ff.xdc
new file mode 100644
index 00000000..3c132f1d
--- /dev/null
+++ b/fpga_interchange/examples/ff/ff.xdc
@@ -0,0 +1,9 @@
+set_property PACKAGE_PIN P17 [get_ports clk]
+set_property PACKAGE_PIN N15 [get_ports d]
+set_property PACKAGE_PIN N16 [get_ports r]
+set_property PACKAGE_PIN M17 [get_ports q]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports d]
+set_property IOSTANDARD LVCMOS33 [get_ports r]
+set_property IOSTANDARD LVCMOS33 [get_ports q]