aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/ff/ff.xdc
diff options
context:
space:
mode:
authorKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-19 16:18:59 -0800
committerKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-23 14:09:28 -0800
commit2fc353d5592b0bf9ed8428545bbd6a64312cc16e (patch)
tree772f1cd9dae2e4fd240d2ad32045acff0dcadc7b /fpga_interchange/examples/ff/ff.xdc
parentcd8297f54d71a5c9f47efab45b3cc93aea86d4e5 (diff)
downloadnextpnr-2fc353d5592b0bf9ed8428545bbd6a64312cc16e.tar.gz
nextpnr-2fc353d5592b0bf9ed8428545bbd6a64312cc16e.tar.bz2
nextpnr-2fc353d5592b0bf9ed8428545bbd6a64312cc16e.zip
Add initial logic for handling dedicated interconnect situations.
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
Diffstat (limited to 'fpga_interchange/examples/ff/ff.xdc')
-rw-r--r--fpga_interchange/examples/ff/ff.xdc9
1 files changed, 9 insertions, 0 deletions
diff --git a/fpga_interchange/examples/ff/ff.xdc b/fpga_interchange/examples/ff/ff.xdc
new file mode 100644
index 00000000..3c132f1d
--- /dev/null
+++ b/fpga_interchange/examples/ff/ff.xdc
@@ -0,0 +1,9 @@
+set_property PACKAGE_PIN P17 [get_ports clk]
+set_property PACKAGE_PIN N15 [get_ports d]
+set_property PACKAGE_PIN N16 [get_ports r]
+set_property PACKAGE_PIN M17 [get_ports q]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports d]
+set_property IOSTANDARD LVCMOS33 [get_ports r]
+set_property IOSTANDARD LVCMOS33 [get_ports q]