aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/blinky.sh
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2018-06-10 14:31:38 +0200
committerClifford Wolf <clifford@clifford.at>2018-06-10 14:31:38 +0200
commit032c94d094b74bec922004cbbac0dcb2ae734839 (patch)
tree704a2a2dd580548c640fc5228705b7b8894c7c5e /ice40/blinky.sh
parent4a79e70470987ba54a16ab97eb25d664509550fc (diff)
downloadnextpnr-032c94d094b74bec922004cbbac0dcb2ae734839.tar.gz
nextpnr-032c94d094b74bec922004cbbac0dcb2ae734839.tar.bz2
nextpnr-032c94d094b74bec922004cbbac0dcb2ae734839.zip
Add blinky post-synthesis testbench
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'ice40/blinky.sh')
-rw-r--r--ice40/blinky.sh7
1 files changed, 7 insertions, 0 deletions
diff --git a/ice40/blinky.sh b/ice40/blinky.sh
new file mode 100644
index 00000000..8a445373
--- /dev/null
+++ b/ice40/blinky.sh
@@ -0,0 +1,7 @@
+#!/bin/bash
+set -ex
+yosys blinky.ys
+../nextpnr-ice40 --json blinky.json --asc blinky.asc
+icebox_vlog blinky.asc > blinky_chip.v
+iverilog -o blinky_tb blinky_chip.v blinky_tb.v
+./blinky_tb