From 032c94d094b74bec922004cbbac0dcb2ae734839 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 10 Jun 2018 14:31:38 +0200 Subject: Add blinky post-synthesis testbench Signed-off-by: Clifford Wolf --- ice40/blinky.sh | 7 +++++++ 1 file changed, 7 insertions(+) create mode 100644 ice40/blinky.sh (limited to 'ice40/blinky.sh') diff --git a/ice40/blinky.sh b/ice40/blinky.sh new file mode 100644 index 00000000..8a445373 --- /dev/null +++ b/ice40/blinky.sh @@ -0,0 +1,7 @@ +#!/bin/bash +set -ex +yosys blinky.ys +../nextpnr-ice40 --json blinky.json --asc blinky.asc +icebox_vlog blinky.asc > blinky_chip.v +iverilog -o blinky_tb blinky_chip.v blinky_tb.v +./blinky_tb -- cgit v1.2.3