aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/ulx3s.v
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-07-10 14:02:01 +0200
committerDavid Shah <davey1576@gmail.com>2018-07-11 10:42:09 +0200
commit9a2e8caf1c40ede0922a9c492e5c774ec66e61d3 (patch)
treefc7fb5873d7252ca19859d7f4f9d57f20d007ede /ecp5/synth/ulx3s.v
parent1830c9372e9bb959cb886c8271d64778550a7ebb (diff)
downloadnextpnr-9a2e8caf1c40ede0922a9c492e5c774ec66e61d3.tar.gz
nextpnr-9a2e8caf1c40ede0922a9c492e5c774ec66e61d3.tar.bz2
nextpnr-9a2e8caf1c40ede0922a9c492e5c774ec66e61d3.zip
ecp5: Buttons working
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ecp5/synth/ulx3s.v')
-rw-r--r--ecp5/synth/ulx3s.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/ecp5/synth/ulx3s.v b/ecp5/synth/ulx3s.v
index 486366fa..08f6e65b 100644
--- a/ecp5/synth/ulx3s.v
+++ b/ecp5/synth/ulx3s.v
@@ -3,7 +3,7 @@ module top(input a_pin, output led_pin, output led2_pin, output gpio0_pin);
wire a;
wire led, led2;
wire gpio0;
- (* BEL="X90/Y65/PIOB" *) (* IO_TYPE="LVCMOS33" *)
+ (* BEL="X4/Y71/PIOA" *) (* IO_TYPE="LVCMOS33" *)
TRELLIS_IO #(.DIR("INPUT")) a_buf (.B(a_pin), .O(a));
(* BEL="X0/Y23/PIOC" *) (* IO_TYPE="LVCMOS33" *)
TRELLIS_IO #(.DIR("OUTPUT")) led_buf (.B(led_pin), .I(led));