aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/sns01/sns02.vhdl
blob: c68ddbd90741da2bb3a5d0bad3d0d214248da4d8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.all;

entity sns02 is
  port (a : std_logic_vector(7 downto 0);
        b : out std_logic);
end sns02;

architecture behav of sns02 is
begin
  b <= or_reduce(a);
end behav;