aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/physical01/physical_division.vhdl
blob: c632cd7de9f8d811df4637c28f5c90726949362f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
  use ieee.std_logic_1164.all;

entity physical_division is
  port (
    clk_cycles : out integer
  );
end physical_division;

architecture rtl of physical_division is
  constant CLK_PERIOD : time := 83.333 ns;
begin
  clk_cycles <= 100 ms / CLK_PERIOD;
end rtl;