aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/physical01/physical_division.vhdl
diff options
context:
space:
mode:
authorMartin Doerfelt <martin.d@andix.de>2019-08-28 22:52:08 +0200
committerMartin Doerfelt <martin.d@andix.de>2019-08-30 18:10:36 +0200
commit5e1ef953f38c0347c760417cf7bddf554dc199a5 (patch)
treeea46f014d03d4b6ce186a128e4c2f133d154641c /testsuite/synth/physical01/physical_division.vhdl
parent942bf6281dd3e78688790e0f7196867ef5bda15d (diff)
downloadghdl-5e1ef953f38c0347c760417cf7bddf554dc199a5.tar.gz
ghdl-5e1ef953f38c0347c760417cf7bddf554dc199a5.tar.bz2
ghdl-5e1ef953f38c0347c760417cf7bddf554dc199a5.zip
testsuite/synth: added test for the physical division
Diffstat (limited to 'testsuite/synth/physical01/physical_division.vhdl')
-rw-r--r--testsuite/synth/physical01/physical_division.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/physical01/physical_division.vhdl b/testsuite/synth/physical01/physical_division.vhdl
new file mode 100644
index 000000000..c632cd7de
--- /dev/null
+++ b/testsuite/synth/physical01/physical_division.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+
+entity physical_division is
+ port (
+ clk_cycles : out integer
+ );
+end physical_division;
+
+architecture rtl of physical_division is
+ constant CLK_PERIOD : time := 83.333 ns;
+begin
+ clk_cycles <= 100 ms / CLK_PERIOD;
+end rtl;