aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper02/tb_uns02.vhdl
blob: 025d56e819b568b588ad73328e613f6a9b2f4e1d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb_uns02 is
end tb_uns02;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_uns02 is
  signal r : boolean;
begin
  cmp01_1: entity work.uns02
    port map (r);

  process
  begin
    wait for 1 ns;
    assert r severity failure;

    wait;
  end process;
end behav;