aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper02/tb_uns02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/oper02/tb_uns02.vhdl')
-rw-r--r--testsuite/synth/oper02/tb_uns02.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/oper02/tb_uns02.vhdl b/testsuite/synth/oper02/tb_uns02.vhdl
new file mode 100644
index 000000000..025d56e81
--- /dev/null
+++ b/testsuite/synth/oper02/tb_uns02.vhdl
@@ -0,0 +1,20 @@
+entity tb_uns02 is
+end tb_uns02;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_uns02 is
+ signal r : boolean;
+begin
+ cmp01_1: entity work.uns02
+ port map (r);
+
+ process
+ begin
+ wait for 1 ns;
+ assert r severity failure;
+
+ wait;
+ end process;
+end behav;