aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue963/tb_ent2.vhdl
blob: daf1865cbb4624295afe74f60c60299418b4a6f5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
entity tb_ent2 is
end tb_ent2;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent2 is
  signal clk : std_logic;
  signal dout : std_logic_vector(3 downto 0);
  signal set_0 : std_logic;
  signal set_a : std_logic;
  signal set_f : std_logic;
  signal set_7 : std_logic;
begin
  dut: entity work.ent2
    port map (
      set_0 => set_0,
      set_a => set_a,
      set_f => set_f,
      set_7 => set_7,
      q => dout,
      clk => clk);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    set_0 <= '1';
    set_a <= '0';
    set_f <= '0';
    set_7 <= '0';
    pulse;
    assert dout = x"0" severity failure;

    set_0 <= '0';
    set_a <= '0';
    set_f <= '0';
    set_7 <= '0';
    pulse;
    assert dout = x"1" severity failure;

    set_0 <= '0';
    set_a <= '0';
    set_f <= '0';
    set_7 <= '0';
    pulse;
    assert dout = x"2" severity failure;

    set_0 <= '0';
    set_a <= '1';
    set_f <= '0';
    set_7 <= '0';
    pulse;
    assert dout = x"a" severity failure;

    set_0 <= '0';
    set_a <= '0';
    set_f <= '0';
    set_7 <= '0';
    pulse;
    assert dout = x"b" severity failure;

    set_0 <= '0';
    set_a <= '0';
    set_f <= '1';
    set_7 <= '0';
    pulse;
    assert dout = x"f" severity failure;

    set_0 <= '0';
    set_a <= '0';
    set_f <= '0';
    set_7 <= '1';
    pulse;
    assert dout = x"7" severity failure;

    set_0 <= '1';
    set_a <= '0';
    set_f <= '0';
    set_7 <= '1';
    pulse;
    assert dout = x"0" severity failure;

    set_0 <= '0';
    set_a <= '1';
    set_f <= '0';
    set_7 <= '1';
    pulse;
    assert dout = x"a" severity failure;

    wait;
  end process;
end behav;