aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue958/c.vhdl
blob: 354a7d1c74cd86cc5a5f30bf31b83691f18a3bb7 (plain)
1
2
3
4
5
6
7
8
9
library ieee;
use ieee.std_logic_1164.all;

entity c is
  port (
    p : in std_logic_vector(7 downto 0)
    );
end c;