aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue958/c.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue958/c.vhdl')
-rw-r--r--testsuite/synth/issue958/c.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/synth/issue958/c.vhdl b/testsuite/synth/issue958/c.vhdl
new file mode 100644
index 000000000..354a7d1c7
--- /dev/null
+++ b/testsuite/synth/issue958/c.vhdl
@@ -0,0 +1,9 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity c is
+ port (
+ p : in std_logic_vector(7 downto 0)
+ );
+end c;
+