aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2125/tb_afed.vhdl
blob: f120d2a584007a3692b127424b500e6738710e99 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
library ieee;
use ieee.std_logic_1164.all;

entity tb_afed is
end entity;

architecture behaviour of tb_afed is

  signal sig : std_logic := '1';
  signal ack : std_logic := '1';
  signal fe  : std_logic;
begin

  monitor : process (fe)
  begin
    report std_logic'image(fe);
  end process;

  simu : process
  begin
    wait for 1 ns;
    ack <= '0'; wait for 1 ns;
    sig <= '1'; wait for 1 ns;
    sig <= '0'; wait for 1 ns;
    sig <= '1'; wait for 1 ns;
    ack <= '1'; wait for 1 ns;
    wait;
  end process;

  afed : entity work.afed
    port map (
      sig => sig,
      ack => ack,
      fe  => fe
      );
  
end architecture;