aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2125/tb_afed.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2125/tb_afed.vhdl')
-rw-r--r--testsuite/synth/issue2125/tb_afed.vhdl37
1 files changed, 37 insertions, 0 deletions
diff --git a/testsuite/synth/issue2125/tb_afed.vhdl b/testsuite/synth/issue2125/tb_afed.vhdl
new file mode 100644
index 000000000..f120d2a58
--- /dev/null
+++ b/testsuite/synth/issue2125/tb_afed.vhdl
@@ -0,0 +1,37 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity tb_afed is
+end entity;
+
+architecture behaviour of tb_afed is
+
+ signal sig : std_logic := '1';
+ signal ack : std_logic := '1';
+ signal fe : std_logic;
+begin
+
+ monitor : process (fe)
+ begin
+ report std_logic'image(fe);
+ end process;
+
+ simu : process
+ begin
+ wait for 1 ns;
+ ack <= '0'; wait for 1 ns;
+ sig <= '1'; wait for 1 ns;
+ sig <= '0'; wait for 1 ns;
+ sig <= '1'; wait for 1 ns;
+ ack <= '1'; wait for 1 ns;
+ wait;
+ end process;
+
+ afed : entity work.afed
+ port map (
+ sig => sig,
+ ack => ack,
+ fe => fe
+ );
+
+end architecture;