aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2013/tc3.vhdl
blob: da029fe9ae9ebe811a6f7ec6f7c9fde919edad8a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity tc3 is
    port (
        state   : in std_ulogic;
        o       : out std_ulogic_vector(3 downto 0)
        );
end entity tc3;

architecture behaviour of tc3 is
    signal misc_sel      : std_ulogic_vector(3 downto 0);
begin
    testcase_0: process(all)
    begin
        misc_sel <= "0000";

        if state = '0' then
          misc_sel <= "0111";
        else
          misc_sel(3) <= '1';
        end if;

        o <= misc_sel;
    end process;
end architecture behaviour;