aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1947/circuit.vhdl
blob: 28aec7af0d95cb9964b9b5fcbdb9d4fd78913a86 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
library ieee;
use ieee.std_logic_1164.all;
package some_generic_package is
    generic (
        some_param : natural
    );
    procedure some_proc (
        variable some_var : out std_logic
    );
end;
package body some_generic_package is
    procedure some_proc (
        variable some_var : out std_logic
    ) is
    begin
    end;
end package body;

library ieee;
use ieee.std_logic_1164.all;
entity circuit is
    port (
        clk : std_logic
    );
end;
package package_instance is new work.some_generic_package
    generic map(
        4
    );
use work.package_instance.all;
architecture rtl of circuit is
begin
    process
        variable some_var : std_logic;
    begin
        wait until clk;

        some_proc(some_var);

    end process;
end architecture;