aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/gen01/dly2.vhdl
blob: 10bcba383432b32cd88c5cbe939b58f0d8e37767 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity gen_delay is
  generic (type T);

  port (i : in T;
        o : out T);
end gen_delay;

architecture arch of gen_delay is
begin
  o <= i;
end arch;

entity dly2 is
  port (i : in bit_vector(7 downto 0);
        o : out bit_vector(7 downto 0));
end dly2;

architecture struct of dly2 is
begin
  inst: entity work.gen_delay
    generic map (T => bit_vector(7 downto 0))
    port map (i => i, o => o);
end struct;