aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/gen01/dly2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/gen01/dly2.vhdl')
-rw-r--r--testsuite/synth/gen01/dly2.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/synth/gen01/dly2.vhdl b/testsuite/synth/gen01/dly2.vhdl
new file mode 100644
index 000000000..10bcba383
--- /dev/null
+++ b/testsuite/synth/gen01/dly2.vhdl
@@ -0,0 +1,23 @@
+entity gen_delay is
+ generic (type T);
+
+ port (i : in T;
+ o : out T);
+end gen_delay;
+
+architecture arch of gen_delay is
+begin
+ o <= i;
+end arch;
+
+entity dly2 is
+ port (i : in bit_vector(7 downto 0);
+ o : out bit_vector(7 downto 0));
+end dly2;
+
+architecture struct of dly2 is
+begin
+ inst: entity work.gen_delay
+ generic map (T => bit_vector(7 downto 0))
+ port map (i => i, o => o);
+end struct;