aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/fsm01/tb_fsm_4s.vhdl
blob: ecd6b9da0a5ef83e7424ff44bbcbb232dafec47c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
entity tb_fsm_4s is
end tb_fsm_4s;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_fsm_4s is
  signal clk : std_logic;
  signal rst : std_logic;
  signal din : std_logic;
  signal done : std_logic;
begin
  dut: entity work.fsm_4s
    port map (
      done => done,
      d => din,
      clk => clk,
      rst => rst);

  process
    constant dat : std_logic_vector := b"1001_1001_1100";
    constant res : std_logic_vector := b"0001_0001_0000";
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    rst <= '1';
    din <= '0';
    pulse;
    assert done = '0' severity failure;
    --  Test the whole sequence.
    rst <= '0';
    for i in dat'range loop
      din <= dat (i);
      pulse;
      assert done = res(i) severity failure;
    end loop;
    wait;
  end process;
end behav;