aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff01/dff06.vhdl
blob: 33f5590a6a1f21444d956a8340630d28cc65f29a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity dff06 is
  port (q : out std_logic;
        d : std_logic;
        clk : std_logic);
end dff06;

architecture behav of dff06 is
begin
  process (clk) is
    variable a, b : std_logic;
  begin
    if rising_edge (clk) then
      q <= b;
      b := a;
      a := d;
    end if;
  end process;
end behav;