aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp02/pkg.vhdl
blob: 8d834a2801d653d48fe018f25f9349aad97e86b4 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

package pkg is
  component cmask is
    generic
      (mask : std_logic_vector (0 to 7));
    port (d : std_logic_vector (7 downto 0);
          o : out std_logic_vector (7 downto 0));
  end component;
end pkg;