aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/libghdl/DesignComment.vhdl
blob: 5cd555d33573b74cbb9811b27bf6705e304bd532 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
--  No copyright for :accum: design.

library ieee;
use ieee.std_logic_1164.all;

entity accum is
  port (
    --  :a: and :b: are the inputs of the adder.
    a, b : in std_logic_vector (31 downto 0);
    --  :res: is the result of the adder.
    res : out std_logic_vector (31 downto 0)
    );
end accum;