aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue795/repro2.vhdl
blob: 8bdc8097f98ad33d57d0beb518b3bfa6542712a7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity repro2s is
  generic (g : string := "hello");
  port (p : string := g);
end repro2s;

architecture behav of repro2s is
begin
  assert p = "hello" severity failure;
end behav;

entity repro2 is
  generic (g : string := "hello");
end repro2;

architecture behav of repro2 is
begin

  comp : entity work.repro2s port map (p => open);
end behav;