aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue795/repro2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue795/repro2.vhdl')
-rw-r--r--testsuite/gna/issue795/repro2.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue795/repro2.vhdl b/testsuite/gna/issue795/repro2.vhdl
new file mode 100644
index 000000000..8bdc8097f
--- /dev/null
+++ b/testsuite/gna/issue795/repro2.vhdl
@@ -0,0 +1,19 @@
+entity repro2s is
+ generic (g : string := "hello");
+ port (p : string := g);
+end repro2s;
+
+architecture behav of repro2s is
+begin
+ assert p = "hello" severity failure;
+end behav;
+
+entity repro2 is
+ generic (g : string := "hello");
+end repro2;
+
+architecture behav of repro2 is
+begin
+
+ comp : entity work.repro2s port map (p => open);
+end behav;