aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue795/repro.vhdl
blob: 6802184eb51297354486771faf60a1d2588d3ae5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
entity repro1 is
  port (p : string);
end repro1;

architecture behav of repro1 is
begin
  assert p = "hello" severity failure;
end behav;

entity repro is
  generic (g : string := "hello");
end repro;

architecture behav of repro is
begin

  comp : entity work.repro1 port map (p => g);
end behav;