aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue795/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue795/repro.vhdl')
-rw-r--r--testsuite/gna/issue795/repro.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/gna/issue795/repro.vhdl b/testsuite/gna/issue795/repro.vhdl
new file mode 100644
index 000000000..6802184eb
--- /dev/null
+++ b/testsuite/gna/issue795/repro.vhdl
@@ -0,0 +1,18 @@
+entity repro1 is
+ port (p : string);
+end repro1;
+
+architecture behav of repro1 is
+begin
+ assert p = "hello" severity failure;
+end behav;
+
+entity repro is
+ generic (g : string := "hello");
+end repro;
+
+architecture behav of repro is
+begin
+
+ comp : entity work.repro1 port map (p => g);
+end behav;