aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue777/a.vhdl
blob: fd6434375efd3a4540b5f5d1722a1a0f7d0546de (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity a is

  port (
    i : in boolean := true
    );

end entity a;

architecture functional of a is

begin  -- architecture functional

  b1 : entity work.b
    port map (i => i);

end architecture functional;