aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue777/a.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue777/a.vhdl')
-rw-r--r--testsuite/gna/issue777/a.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/gna/issue777/a.vhdl b/testsuite/gna/issue777/a.vhdl
new file mode 100644
index 000000000..fd6434375
--- /dev/null
+++ b/testsuite/gna/issue777/a.vhdl
@@ -0,0 +1,16 @@
+entity a is
+
+ port (
+ i : in boolean := true
+ );
+
+end entity a;
+
+architecture functional of a is
+
+begin -- architecture functional
+
+ b1 : entity work.b
+ port map (i => i);
+
+end architecture functional;