aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue736/repro.vhdl
blob: 3ac0b65d5253eb5855be5e26c2d1a713cef507e6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
entity repro is
end repro;

architecture behav of repro is
  signal a, i, r : bit;
begin
  process (all)
  begin
    r <= a when i = '0' else not a;
  end process;

  process
  begin
    i <= '0';
    a <= '1';
    wait for 1 ns;
    assert r = '1' severity failure;

    i <= '0';
    a <= '0';
    wait for 1 ns;
    assert r = '0' severity failure;

    i <= '1';
    a <= '1';
    wait for 1 ns;
    assert r = '0' severity failure;

    wait;
  end process;
end behav;