aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue736/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue736/repro.vhdl')
-rw-r--r--testsuite/gna/issue736/repro.vhdl31
1 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/gna/issue736/repro.vhdl b/testsuite/gna/issue736/repro.vhdl
new file mode 100644
index 000000000..3ac0b65d5
--- /dev/null
+++ b/testsuite/gna/issue736/repro.vhdl
@@ -0,0 +1,31 @@
+entity repro is
+end repro;
+
+architecture behav of repro is
+ signal a, i, r : bit;
+begin
+ process (all)
+ begin
+ r <= a when i = '0' else not a;
+ end process;
+
+ process
+ begin
+ i <= '0';
+ a <= '1';
+ wait for 1 ns;
+ assert r = '1' severity failure;
+
+ i <= '0';
+ a <= '0';
+ wait for 1 ns;
+ assert r = '0' severity failure;
+
+ i <= '1';
+ a <= '1';
+ wait for 1 ns;
+ assert r = '0' severity failure;
+
+ wait;
+ end process;
+end behav;