aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2424/ent.vhdl
blob: b163b474caeca3fa31a2b7ebd0b173c7c7a9a536 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
package pkg is
	type rec is record
		elem : bit_vector;
	end record;

	type arr is array(natural range <>) of rec;
end package;

use work.pkg.all;

entity e is
	port (
		p : in arr
	);
end entity;

architecture a of e is
	signal sig : p'subtype;
begin

end architecture;

entity top is
end top;

use work.pkg.all;

architecture behav of top is
  signal s : arr (1 to 4)(elem(7 downto 0));
begin
  dut : entity work.e port map (p => s);
end behav;