aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2424/ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2424/ent.vhdl')
-rw-r--r--testsuite/gna/issue2424/ent.vhdl32
1 files changed, 32 insertions, 0 deletions
diff --git a/testsuite/gna/issue2424/ent.vhdl b/testsuite/gna/issue2424/ent.vhdl
new file mode 100644
index 000000000..b163b474c
--- /dev/null
+++ b/testsuite/gna/issue2424/ent.vhdl
@@ -0,0 +1,32 @@
+package pkg is
+ type rec is record
+ elem : bit_vector;
+ end record;
+
+ type arr is array(natural range <>) of rec;
+end package;
+
+use work.pkg.all;
+
+entity e is
+ port (
+ p : in arr
+ );
+end entity;
+
+architecture a of e is
+ signal sig : p'subtype;
+begin
+
+end architecture;
+
+entity top is
+end top;
+
+use work.pkg.all;
+
+architecture behav of top is
+ signal s : arr (1 to 4)(elem(7 downto 0));
+begin
+ dut : entity work.e port map (p => s);
+end behav;