aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2421/top.vhdl
blob: 676e57efdfb05646b235beff54bb39fc22583fad (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
library ieee;
use     ieee.std_logic_1164.all;

entity comp is
	port (
		output : out std_logic_vector
	);
end entity;

architecture a1 of comp is
begin
	output <= (others => '0');
	-- output <= (output'range => '0');  -- gives no error
end architecture;


library ieee;
use     ieee.std_logic_1164.all;
use     ieee.numeric_std.all;

entity top is
end entity;

architecture a2 of top is
	signal sig : std_logic_vector(7 downto 0);
begin
	inst : entity work.comp
		port map (
			output => sig
		);
end architecture;