aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2212/minimal.vhdl
blob: 82f0341231386a23b255b98c9cefd6253980740e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library IEEE;
  use IEEE.std_logic_1164.all;
  use IEEE.numeric_std.all;
 
entity minimal is
  port(
      duty_cycle: in std_logic_vector(7 downto 0)
    );
end entity minimal;

architecture rtl of minimal is

begin
  
 DECIDE_STATE: process(all) is
--DECIDE_STATE: process(duty_cycle) is -- uncomment to run
    variable duty : boolean;
  begin
    duty := true when signed(duty_cycle) > 0 else false;
  end process;
  
end architecture rtl;