aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2166/tb2.vhdl
blob: f08f5d659c8045cdf00adfdf4f68ef89fb8e7943 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
library OSVVM ;

use OSVVM.MemorySupportPkg.all ;

package MemoryPkg is new OSVVM.MemoryGenericPkg
  generic map (
--    MemoryBaseType      => MemoryBaseType_X,
    SizeMemoryBaseType  => SizeMemoryBaseType_X,
    ToMemoryBaseType    => ToMemoryBaseType_X,
    FromMemoryBaseType  => FromMemoryBaseType_X,
    InitMemoryBaseType  => InitMemoryBaseType_X
  ) ;

library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
use work.MemoryPkg.all;

entity tb2 is
end;

architecture behav of tb2 is
begin
  process
    variable MemoryID : MemoryIDType;
  begin
    MemoryID := NewID(
      Name      => "my_sram",
      AddrWidth => 20,
      DataWidth => 16);

    MemWrite(MemoryId, x"00000", x"0000");
    wait;
  end process;
end ;