aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/func2.vhdl
blob: 69be83a25692ba25530fd5f4fa3e8aa14a270f60 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
package gen0 is
  generic(v:natural:=0);
  function get return natural;
end;

package body gen0 is
  function get return natural is
  begin
    return 0;
  end;
end gen0;

package n is
  generic(package g is new n generic map(<>));
  function t return l;
end;

package body gen0 is
  use d;
end gen0;

package g is new n;

package p is
end;

architecture behav of b is
begin
end behav;