aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/func2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/func2.vhdl')
-rw-r--r--testsuite/gna/issue2116/func2.vhdl29
1 files changed, 29 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/func2.vhdl b/testsuite/gna/issue2116/func2.vhdl
new file mode 100644
index 000000000..69be83a25
--- /dev/null
+++ b/testsuite/gna/issue2116/func2.vhdl
@@ -0,0 +1,29 @@
+package gen0 is
+ generic(v:natural:=0);
+ function get return natural;
+end;
+
+package body gen0 is
+ function get return natural is
+ begin
+ return 0;
+ end;
+end gen0;
+
+package n is
+ generic(package g is new n generic map(<>));
+ function t return l;
+end;
+
+package body gen0 is
+ use d;
+end gen0;
+
+package g is new n;
+
+package p is
+end;
+
+architecture behav of b is
+begin
+end behav;