aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/eval2.vhdl
blob: 02b2d8d58ae17f2eab68e18a6f28676715cbcc71 (plain)
1
2
3
4
5
6
7
library ieee;use ieee.std_logic_1164;entity ghdlcrash is
port(i:std'l);end ghdlcrash;architecture s of h is--
function m(a:l)return n is
variable m:t;begin--
end function;--
begin--
end architecture;