aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/eval2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/eval2.vhdl')
-rw-r--r--testsuite/gna/issue2116/eval2.vhdl7
1 files changed, 7 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/eval2.vhdl b/testsuite/gna/issue2116/eval2.vhdl
new file mode 100644
index 000000000..02b2d8d58
--- /dev/null
+++ b/testsuite/gna/issue2116/eval2.vhdl
@@ -0,0 +1,7 @@
+library ieee;use ieee.std_logic_1164;entity ghdlcrash is
+port(i:std'l);end ghdlcrash;architecture s of h is--
+function m(a:l)return n is
+variable m:t;begin--
+end function;--
+begin--
+end architecture; \ No newline at end of file